Welcome![Sign In][Sign Up]
Location:
Search - ps2 vhdl

Search list

[VHDL-FPGA-VerilogPS2

Description: vhdl经典源代码——ps2接口设计,入门者必须掌握-vhdl classical source code-- ps2 interface design, beginners must master
Platform: | Size: 96256 | Author: jeffery | Hits:

[VHDL-FPGA-VerilogPS2-IP-CORE-VHDL

Description: 一个PS2 IP CORE(VHDL) for FPGA-A PS2 IP CORE (VHDL) for FPGA
Platform: | Size: 26624 | Author: nanotalk | Hits:

[VHDL-FPGA-Verilogps2

Description: PS2接口的VHDL实现,希望对大家有帮助。-PS2 interface VHDL realize, in the hope that everyone has to help.
Platform: | Size: 7168 | Author: 张开文 | Hits:

[VHDL-FPGA-Verilogps2

Description: ps2接口源程序。标准的键盘和鼠标接口,在Xilinx SpartanII XC2S200 实验板上通过验证-ps2 interface source. Standard keyboard and mouse interface, in the experiments on-board Xilinx SpartanII XC2S200 validated
Platform: | Size: 23552 | Author: Alex | Hits:

[VHDL-FPGA-VerilogPS2

Description: 基于EPM1270的PS2键盘鼠标驱动源码Verilog-Based on the EPM1270 the PS2 keyboard and mouse-driven Verilog source
Platform: | Size: 479232 | Author: cx | Hits:

[VHDL-FPGA-VerilogPs2

Description: 这是一个用FPGA控制PS2接口的程序,里面用VHDL语言编写,希望大家踊跃下载!-This is a PS2 interface with FPGA control procedures, which use VHDL language, hope that we enthusiastically download!
Platform: | Size: 884736 | Author: 裴跃生 | Hits:

[VHDL-FPGA-Verilogps2

Description: vhdl实现ps2接口的程序,可以接受键盘的输入或者鼠标的输入.-VHDL procedures to achieve ps2 interface can accept keyboard input or mouse input.
Platform: | Size: 1024 | Author: liaocongliang | Hits:

[VHDL-FPGA-VerilogFPGA-PS2-interface

Description: FPGA的PS2口接口程序,可识别PS2口键盘的输入-FPGA-PS2 port interface program to identify the mouth PS2 keyboard input
Platform: | Size: 665600 | Author: 冀少威 | Hits:

[SCMps2

Description: 实现ps2键盘功能,可实现电脑26个按键的功能。-Achieve ps2 keyboard function, a computer keyboard functions
Platform: | Size: 2048 | Author: 赵朴 | Hits:

[SCMPs2-ALL

Description: ps2鼠标到接入,有关鼠标到输入都可以用-ps2 mouse to access, the mouse can be used to enter
Platform: | Size: 1103872 | Author: 谢文东 | Hits:

[SCMExp7-PS2

Description: 鼠标ps2 控制 pfga,并且在vga上显示,有源码,还有ucf文件 可以自行修改-Ps2 mouse to control pfga
Platform: | Size: 593920 | Author: yangcheng | Hits:

[VHDL-FPGA-VerilogPS2-Mouse

Description: ps2-mouse port in fpga board
Platform: | Size: 729088 | Author: stupidme | Hits:

[Booksps2

Description: FOGA ps2 键盘 实验的例子,非常的易懂,一看即会!-Examples of experiments FOGA ps2 keyboard, very easy to understand, a look that will be!
Platform: | Size: 106496 | Author: 李丽莎 | Hits:

[VHDL-FPGA-Verilogps2

Description: 采用sopc技术,nios2ide开发环境,实现nios对ps2键盘的控制,按键讲ascii码显示在led上-Using sopc technology, nios2ide development environment to achieve nios right ps2 keyboard control, key speakers led the ascii code is displayed in
Platform: | Size: 8153088 | Author: 蹇清平 | Hits:

[Embeded-SCM Developps2

Description: PS2键盘通信,VERILOG实现的,比较好的哦-PS2 keyboard communications, VERILOG realized, oh good
Platform: | Size: 601088 | Author: 洪依 | Hits:

[VHDL-FPGA-Verilogps2

Description: 基于VHDL的,有ps2接口控制的,vga显示的加减计数器-Based on VHDL, there are ps2 interface control, vga show addition and subtraction counter
Platform: | Size: 357376 | Author: symbolics | Hits:

[VHDL-FPGA-VerilogPS2-VGA

Description: VHDL- introduce keywords from keyboard and displaying on a VGA display
Platform: | Size: 674816 | Author: Alex | Hits:

[VHDL-FPGA-VerilogPS2

Description: 用vhdl语言编写的ps2接口驱动程序,可扫描键盘键入的数据并显示在数码管上。-ps2
Platform: | Size: 493568 | Author: 王双 | Hits:

[Documentsps2

Description: ps/2键盘驱动器程序键盘VHDL编写,带测试文件-ps/2 keyboard driver code ,program with VHDL,with test file
Platform: | Size: 56320 | Author: sunyu | Hits:

[VHDL-FPGA-VerilogPS2-keyboard-controller-design

Description: PS2 keyboard controller design PS2 键盘控制设计-PS2 keyboard controller design
Platform: | Size: 871424 | Author: liu | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net